信息器件 电路和系统 论文 Website Google Scholar PDF

集成电路未来发展及关键问题观点专题

EDA左移融合设计范式的发展现状、趋势与挑战

梁云, 卓成, 李永福
中国科学: 信息科学, 2024, 54(1): 121-129

摘要 左移(shift-left)融合是电子设计自动化(electronic design automation, EDA)的一种新设计范式,旨在通过融合和并行解决传统瀑布式设计范式的问题.传统EDA流程分为多个串行设计阶段,导致设计周期长、设计冗余大.通过相邻设计阶段的融合与并行,可以有效缩短设计周期、提升设计优化效率.左移融合通常涉及在不同设计阶段之间共享数据模型,并采用新的抽象方法,可以应用到包括高层次综合、逻辑综合、布局布线、签核验证、工艺优化等EDA流程的各个阶段.此外,借助早期的数据分析与评估,可以有效应对后期可能出现的问题,从而降低开发成本.综上,鉴于左移融合对于提高EDA流程的效率和质量具有重要意义,本文将介绍左移融合的发展现状和关键科学问题,并展望未来发展路线.

关键词 电子设计自动化; 左移; 融合; 并行; 效率; EDA; shift-left; fusion; parallel; efficiency

引用格式 梁云, 卓成, 李永福. EDA左移融合设计范式的发展现状、趋势与挑战. 中国科学: 信息科学, 2024, 54(1): 121-129, doi: 10.1360/SSI-2023-0314
Yun LIANG, Cheng ZHUO, Yongfu LI. The shift-left design paradigm of EDA: progress and challenges. Sci Sin Inform, 2024, 54(1): 121-129, doi: 10.1360/SSI-2023-0314

信息器件 电路和系统 论文 Website Google Scholar PDF

集成电路未来发展及关键问题观点专题

高性能芯片物理实现的关键因素

樊凌雁, 黄灿坤, 朱志伟, 刘海銮, 马香媛
中国科学: 信息科学, 2024, 54(1): 110-120

摘要 影响高性能芯片的物理设计涉及多个关键因素,文章分析了其中主要的5个因素:标准单元库、核心IP库、布局布线、制造工艺、物理设计与EDA工具/Foundry的协作优化.通过对基本情况介绍,当前行业情况分析等,剖析了影响高性能芯片设计及制造的核心因素,对高性能芯片未来需要重点发展的方向提出了一些探讨思路.

关键词 高性能芯片; 物理设计; 集成电路IP核; EDA; 布局布线; high-performance chips; physical design; intellectual property core; place and route

引用格式 樊凌雁, 黄灿坤, 朱志伟, 等. 高性能芯片物理实现的关键因素. 中国科学: 信息科学, 2024, 54(1): 110-120, doi: 10.1360/SSI-2023-0330
Lingyan FAN, Cankun HUANG, Zhiwei ZHU, et al. Key factors in the physical design of high-performance chips. Sci Sin Inform, 2024, 54(1): 110-120, doi: 10.1360/SSI-2023-0330

信息器件 电路和系统 论文 Website Google Scholar PDF

集成电路未来发展及关键问题观点专题

面向高性能计算的低温芯片技术: 发展和挑战

程然, 李博, 王宗巍, 张结印, 单伟伟, 张建军, 蔡一茂, 韩根全
中国科学: 信息科学, 2024, 54(1): 88-101

摘要 过去60多年,集成电路技术的进步推动了电子信息领域的快速发展.随着工艺制程进入纳米阶段,通过微缩化技术进一步提升器件和电路的性能需要克服技术和成本方面的多重挑战.探寻新的器件、设计和架构技术是高性能计算领域解决当下瓶颈的必然路径.低温芯片技术,利用晶体管低温下电学性能的提升,可以进一步提高逻辑芯片的算力并降低动态和静态功耗,由于和现有集成电路技术兼容性较高,是低成本实现更高性能计算的理想技术路线之一.此外,随着量子计算技术的发展,可扩展的大规模量子芯片需要和极低温互补金属氧化物半导体CMOS电路以及存储芯片实现片上集成,进而实现更高效的数据处理.本文面向高性能计算应用,从器件表征、模型、仿真和设计、应用等多个层面,分析并总结了低温芯片技术领域的发展历程、理论基础和技术挑战,并给出针对性的解决方案和建议,有助于推动我国在低温芯片技术领域的持续发展.

关键词 低温芯片; 低温电子学; 低温PDK; 高性能计算; 量子计算; low-temperature CMOS circuit; low-temperature electronics; low-temperature PDK; high-performance computing; quantum computing

引用格式 程然, 李博, 王宗巍, 等. 面向高性能计算的低温芯片技术: 发展和挑战. 中国科学: 信息科学, 2024, 54(1): 88-101, doi: 10.1360/SSI-2023-0347
Ran CHENG, Bo LI, Zongwei WANG, et al. Low-temperature CMOS technology for high-performance computing: development and challenges. Sci Sin Inform, 2024, 54(1): 88-101, doi: 10.1360/SSI-2023-0347

信息器件 电路和系统 论文 Website Google Scholar PDF

集成电路未来发展及关键问题观点专题

硅基毫米波集成电路设计发展现状与挑战

张净植, 余益明, 吴韵秋, 赵晨曦, 张青风, 康凯
中国科学: 信息科学, 2024, 54(1): 68-87

摘要 毫米波相控阵系统因其大带宽、高速率和多波束的优点,在移动通信和雷达感知系统中得到了广泛的应用.硅基毫米波集成电路设计是其中的关键核心技术.本文基于国家自然科学基金委员会第347期“双清论坛(青年)”的研讨成果,根据毫米波集成电路与集成系统研究方面的重大需求,针对硅基毫米波集成电路设计的关键挑战和科学问题,按照相控阵架构、关键电路和器件模型3个层级,总结梳理了国内外硅基毫米波集成电路设计领域近年来所取得的主要进展和成就,凝炼了该领域未来5~10年的重大关键科学问题,探讨了前沿研究方向和科学基金资助战略.

关键词 毫米波; 相控阵; 集成电路; 移动通信; 雷达感知; mm-Wave; phased array; integrated circuit; communication; radar

引用格式 张净植, 余益明, 吴韵秋, 等. 硅基毫米波集成电路设计发展现状与挑战. 中国科学: 信息科学, 2024, 54(1): 68-87, doi: 10.1360/SSI-2023-0334
Jingzhi ZHANG, Yiming YU, Yunqiu WU, et al. Developments and challenges of mm-Wave integrated circuits on silicon. Sci Sin Inform, 2024, 54(1): 68-87, doi: 10.1360/SSI-2023-0334

信息器件 电路和系统 论文 Website Google Scholar PDF

集成电路未来发展及关键问题观点专题

高效率高集成度电源管理芯片的发展与关键挑战

路延, 屈万园
中国科学: 信息科学, 2024, 54(1): 58-67

摘要 随着人工智能对算力(电力)需求的指数增长,高性能计算芯片对供电效率、密度提出了严苛的要求,高密度电源管理芯片成为制约算力发展的显著瓶颈,是高性能计算芯片的重要共性支撑.面对高算力芯片的供电功率、密度与效率的综合性巨大挑战,以及集成芯片系统的新供电场景,传统的分立供电架构、控制技术等亟待突破.基于国家自然科学基金委员会第347期“双清论坛(青年)”关于集成电路未来发展及关键问题的广泛讨论,本文总结了电源管理芯片方向发展上的关键问题与挑战.本文从目前主流处理器的前沿供电技术的发展现状出发,讨论了高性能处理器供电技术的长期发展趋势,并给出未来关键技术的发展预测,从电源管理系统架构、控制技术、无源器件集成、封装形态等方面提出研究建议,为我国集成芯片高密度供电技术的发展提供重要的理论支撑.

关键词 电源管理芯片; DC-DC; 混合架构; 稳压电源; 3D集成芯片供电; power management IC; hybrid topology; voltage regulator; 3D power delivery for integrated chips

引用格式 路延, 屈万园. 高效率高集成度电源管理芯片的发展与关键挑战. 中国科学: 信息科学, 2024, 54(1): 58-67, doi: 10.1360/SSI-2023-0338
Yan LU, Wanyuan QU. Development and challenges of high-efficiency highly-integrated power management integrated circuits. Sci Sin Inform, 2024, 54(1): 58-67, doi: 10.1360/SSI-2023-0338

信息器件 电路和系统 论文 Website Google Scholar PDF

集成电路未来发展及关键问题观点专题

高性能模数转换器技术挑战与发展趋势

朱樟明, 刘术彬
中国科学: 信息科学, 2024, 54(1): 48-57

摘要 高性能模数转换器(analog-to-digital converter, ADC)是电子信息系统的核心器件,是集成电路设计领域的研究热点与难点,是最复杂、难度最大的模拟集成电路.本文阐述了集成电路工艺演进给高性能模拟电路设计带来的共性挑战,并分别从纳米工艺下高速高精度、超高速低精度和高精度低延时等模数转换器系统架构与设计技术方面分析了高性能模数转换器面临的技术挑战与重要发展趋势.

关键词 模拟集成电路; 模数转换器; 高速高精度; 低延时; analog integrated circuit; analog-to-digital converter; high-speed-high-precision; low latency

引用格式 朱樟明, 刘术彬. 高性能模数转换器技术挑战与发展趋势. 中国科学: 信息科学, 2024, 54(1): 48-57, doi: 10.1360/SSI-2023-0310
Zhangming ZHU, Shubin LIU. Challenge and trend of high-performance analog-to-digital converters. Sci Sin Inform, 2024, 54(1): 48-57, doi: 10.1360/SSI-2023-0310

信息器件 电路和系统 论文 Website Google Scholar PDF

集成电路未来发展及关键问题观点专题

高能效高安全新兴计算芯片: 现状、挑战与展望

刘伟强, 陈珂, 吴比, 邓尔雅, 王佑, 龚宇, 崔益军, 王成华
中国科学: 信息科学, 2024, 54(1): 34-47

摘要 智能信息化社会对算力的需求日益增长,高能效和高安全性的计算芯片已经成为支撑科技创新和社会进步不可或缺的基础设施.新兴计算范式作为提升算力的创新技术,近年来在理论和技术方面取得了重要突破,引起了学术界和工业界广泛关注.本文从电路设计方法、新型芯片架构以及脑启发算法等多个角度介绍和分析了新兴计算芯片的相关前沿技术,同时讨论了各项技术的阶段性特征以及所面临的设计挑战和安全可信挑战,最后展望了新兴计算芯片技术的未来发展,并阐述了其发展的重点方向.

关键词 新兴计算范式; 安全可信; 近似计算; 随机计算; 存内计算; 脑启发式计算; emerging computing paradigm; secure and trust; approximate computing; stochastic computing; in-memory computing; brain-inspired computing

引用格式 刘伟强, 陈珂, 吴比, 等. 高能效高安全新兴计算芯片: 现状、挑战与展望. 中国科学: 信息科学, 2024, 54(1): 34-47, doi: 10.1360/SSI-2023-0316
Weiqiang LIU, Ke CHEN, Bi WU, et al. High-efficiency and high-security emerging computing chips: development, challenges, and prospects. Sci Sin Inform, 2024, 54(1): 34-47, doi: 10.1360/SSI-2023-0316

信息器件 电路和系统 论文 Website Google Scholar PDF

集成电路未来发展及关键问题观点专题

集成电路未来发展与关键问题——第347期"双清论坛(青年)"学术综述

陈云霁, 蔡一茂, 汪玉, 唐华, 何杰, 刘克, 郝跃
中国科学: 信息科学, 2024, 54(1): 1-15

摘要 集成电路是信息时代重要的技术基础,也是国家战略竞争力的重要标志.在全球范围内,集成电路技术正处于快速变革与创新的新时期.面向集成电路未来发展,需要针对先进器件及集成工艺、模拟与混合电路、电路设计方法、新型计算架构等方面开展前沿研究,加强规划布局,完善创新系统,推动我国集成电路产业在未来发展中占得先机.基于第347期“双清论坛(青年)”,本文总结了我国集成电路科学研究及产业发展面临的国家重大需求,研判分析了集成电路领域国内外的发展态势和关键问题,展望了该领域重大的前沿发展趋势,探讨了前沿研究方向和科学基金资助战略,以期助推我国集成电路技术高质量发展.

关键词 集成电路; 先进集成封装工艺; 模拟与射频电路; 电子设计自动化; 新型体系架构; integrated circuit; advanced integration and packaging; analog and radio-frequency circuit; electronic design automation; novel computer architecture

引用格式 陈云霁, 蔡一茂, 汪玉, 等. 集成电路未来发展与关键问题——第347期"双清论坛(青年)"学术综述. 中国科学: 信息科学, 2024, 54(1): 1-15, doi: 10.1360/SSI-2023-0356
Yunji CHEN, Yimao CAI, Yu WANG, et al. Integrated circuit technology: future development and key issues—review of the 347th "Shuangqing Forum (Youth)". Sci Sin Inform, 2024, 54(1): 1-15, doi: 10.1360/SSI-2023-0356

信息器件 电路和系统 评述 Website Google Scholar PDF SCOPUS引次: 0

从集成电路到集成系统

吴林晟, 毛军发
中国科学: 信息科学, 2023, 53(10): 1843-1857

摘要 芯片与微电子系统是现代电子信息与智能技术的基础,芯片由集成电路(integrated circuits,IC)技术实现,而微电子系统则可由本文提出的集成系统(integrated systems, IS)技术实现.集成系统概念的提出参照了集成电路的思想,并基于以下4方面原因:首先,集成电路是手段,系统才是目的,单个集成电路往往不具备系统功能,需要与其他电路或元器件相结合才能构成系统.其次,标准硅基集成电路的摩尔定律已面临挑战.第三,微电子系统的前道芯片设计加工与后道封装集成逐步收敛.最后,目前的封装集成技术采取分立的实施步骤.集成系统研究如何将各种不同材料、不同工艺、不同结构的元器件、天线、集成电路芯片进行集成,实现所需功能和性能的微电子系统.集成系统的一个核心理念是能否像设计、加工集成电路一样设计、加工微电子系统.本文将介绍集成系统的背景、概念、特征、面临的挑战、需解决的关键科技问题,以及一些研究进展.

关键词 集成电路; 微电子系统; 电子封装; 集成系统; 异质异构集成; 体系架构; integrated circuits; microelectronic systems; electronic packaging; integrated systems; heterogeneous integration; system architecture

引用格式 吴林晟, 毛军发. 从集成电路到集成系统. 中国科学: 信息科学, 2023, 53(10): 1843-1857, doi: 10.1360/SSI-2022-0414
Linsheng WU, Junfa MAO. From integrated circuits to integrated systems. Sci Sin Inform, 2023, 53(10): 1843-1857, doi: 10.1360/SSI-2022-0414

信息器件 电路和系统 论文 Website Google Scholar PDF SCOPUS引次: 3

忆阻器混合逻辑电路设计及其应用

代广珍, 赵振宇, 宋兴文, 韩名君, 倪天明
中国科学: 信息科学, 2023, 53(1): 178-190

摘要 为解决传统集成电路面积大、功耗高等问题,采用纳米级忆阻器设计实现了数字逻辑电路中的加法器和乘法器.基于忆阻器MRL结构设计的OR门和AND门,设计了2T-4M结构的XOR和XNOR逻辑门.运用这些逻辑门与CMOS管混合实现了全加器,其中CMOS反相器增强了信号驱动.改进2T-4M结构实现了一种新型2T-4M逻辑模块,并基于此模块设计了2位二进制乘法器. LTspice仿真验证了电路设计的正确性.与已报道的MRL结构全加器和2位二进制乘法器进行比较发现全加器使用的元器件数量明显减少,延迟时间最少提高了53.3%,功耗最小降低了1.93 m W; 2位二进制乘法器的设计在元器件总体使用数量上也有一定的优势,总共只需要18个元器件.最后,利用全加器构成加密阵列电路,对图像进行了加解密操作,验证了电路在实际应用中的可行性.

关键词 忆阻器; CMOS; 全加器; 乘法器; 图像加密; memristor; CMOS; full adder; multiplier; image encryption;

引用格式 代广珍, 赵振宇, 宋兴文, 等. 忆阻器混合逻辑电路设计及其应用. 中国科学: 信息科学, 2023, 53(1): 178-190, doi: 10.1360/SSI-2022-0162
Guangzhen DAI, Zhenyu ZHAO, Xingwen SONG, et al. Design and application of memristor hybrid logic circuit. Sci Sin Inform, 2023, 53(1): 178-190, doi: 10.1360/SSI-2022-0162

信息器件 电路和系统 论文 Website Google Scholar PDF SCOPUS引次: 2

基于忆阻器的RBM及其在车牌图像处理中的应用

徐聪, 王春华, 孙晶茹
中国科学: 信息科学, 2023, 53(1): 164-177

摘要 车牌识别在智能交通和公共安全领域具有十分重要的意义.由于拍摄环境、拍摄设备等因素的影响,获取的车牌图像分辨率较低、图像模糊,影响了车牌识别的准确率.图像超分辨率和图像去噪技术可以改善图像质量,提高车牌图像的识别率.本文提出了基于忆阻器的受限玻尔兹曼机(restricted Boltzmann machine, RBM)的全电路设计,并将其应用于车牌图像处理.忆阻RBM电路主要包含3个模块:隐单元生成模块、可见单元重构模块和隐单元再生模块.忆阻电路采用片上训练方式,提高了网络的训练效率,实现了实时的在线学习.最后,将基于忆阻器的RBM应用于车牌图像超分辨率和图像去噪,通过计算图像的结构相似性(structural similarity, SSIM)和峰值信噪比(peak signal to noise ratio, PSNR),并与传统的图像处理方法进行对比分析,验证了所提出的基于忆阻器的RBM在图像处理中的有效性和优越性.

关键词 忆阻器; 神经网络; 车牌图像处理; 受限玻尔兹曼机; 电路设计; memristor; neural network; license plate image processing; restricted Boltzmann machine; circuit design;

引用格式 徐聪, 王春华, 孙晶茹. 基于忆阻器的RBM及其在车牌图像处理中的应用. 中国科学: 信息科学, 2023, 53(1): 164-177, doi: 10.1360/SSI-2022-0027
Cong XU, Chunhua WANG, Jingru SUN. A memristor-based RBM circuit implementation and application in license plate image processing. Sci Sin Inform, 2023, 53(1): 164-177, doi: 10.1360/SSI-2022-0027

信息器件 电路和系统 论文 Website Google Scholar PDF SCOPUS引次: 0

纪念清华大学电子工程系成立70周年专刊

高速电流舵数模转换器减小时序失配的方法

付裕深, 黄成宇, 孙立猛, 李学清, 杨华中
中国科学: 信息科学, 2022, 52(4): 675-686

摘要 随着电流舵数模转换器(digital-to-analog converter, DAC)工作频率的提高,即使是数百飞秒的时序失配也会严重恶化高性能DAC的动态性能.在这一类DAC中,锁存驱动器模块直接控制电流源的开关切换,其时序直接影响电流舵DAC输出模拟信号的码间过渡动态特性.电流舵DAC锁存驱动器时序失配的主要来源,包括时钟网络延时失配、开关驱动晶体管的梯度失配和随机失配.一方面,在传统时钟网络中,不同位置节点间的失配是时钟网络延时失配的重要来源;另一方面,增加开关驱动晶体管尺寸可减少随机失配造成的延时偏差,但增加梯度失配造成的延时偏差.为了减小锁存驱动器时序失配提升DAC动态性能,本文提出了一种通过改变时钟网络连接方式减小时钟延时失配的方法,以及一种综合考虑梯度失配与随机失配的联合设计方法.为了验证所提方法的有效性,在65 nm工艺下设计了一个14b精度的DAC,流片测试结果表明在1 GS/s采样率、430 MHz信号带宽内,实测的无杂散动态范围(spurious-free dynamic range, SFDR)大于70 dB.与相同工艺下设计但并未采用本文所提出的时序优化方法的DAC测试结果对比表明,本文提出的时序优化方法以功耗从106 mW提升到160 mW为代价,将SFDR大于70 dB的信号带宽从210 MHz提升到430 MHz.

关键词 时序失配; 数模转换器(DAC); 时钟网络; 梯度失配; 随机失配; 无杂散动态范围(SFDR); timing mismatch; digital-to-analog converter(DAC); clock network; gradient mismatch; random mismatch; spurious-free dynamic range(SFDR);

引用格式 付裕深, 黄成宇, 孙立猛, 等. 高速电流舵数模转换器减小时序失配的方法. 中国科学: 信息科学, 2022, 52(4): 675-686, doi: 10.1360/SSI-2021-0411
Yushen FU, Chengyu HUANG, Limeng SUN, et al. Methods for reducing the timing mismatch of high-speed current-steering digital-to-analog converters. Sci Sin Inform, 2022, 52(4): 675-686, doi: 10.1360/SSI-2021-0411

信息器件 电路和系统 评述 Website Google Scholar PDF SCOPUS引次: 0

纪念清华大学电子工程系成立70周年专刊

存算一体电路与跨层次协同设计优化: 从SRAM到铁电晶体管

尹勋钊, 岳金山, 黄庆荣, 李超, 蔡嘉豪, 杨泽禹, 卓成, 刘明
中国科学: 信息科学, 2022, 52(4): 612-638

摘要 人工智能与物联网时代,大数据模型驱动的应用场景和计算任务层出不穷,极大促进了国家数字化发展.然而,传统冯·诺依曼(John von Neumann)体系架构的硬件系统由于存算分离的结构特点导致存储墙瓶颈,在数据密集型应用中消耗了大量的数据搬运成本,抑制了能效性能提升.存算一体技术是后摩尔(Moore)时代背离传统架构系统的新型计算范式,利用存储单元器件、电路内在特性,将基本的计算逻辑任务融入存储单元之中,从而消除数据搬运开销,有望实现智能计算硬件平台能效性能的显著提升.本文以契合存算一体技术的存储器件电路为切入点,概述基于传统互补金属氧化物半导体(complementary metal oxide semiconductor, CMOS)和新型非易失存储器件代表铁电晶体管的存算一体电路,并从器件、架构芯片、算法应用等层次讨论存算一体电路的跨层次协同设计优化方法.

关键词 存内计算; 静态随机访问存储器; 铁电晶体管; 交叉阵列; 内容寻址存储器; computing-in-memory; static random access memory; ferroelectric field effect transistor; crossbar; content addressable memory;

引用格式 尹勋钊, 岳金山, 黄庆荣, 等. 存算一体电路与跨层次协同设计优化: 从SRAM到铁电晶体管. 中国科学: 信息科学, 2022, 52(4): 612-638, doi: 10.1360/SSI-2021-0420
Xunzhao YIN, Jinshan YUE, Qingrong HUANG, et al. Computing-in-memory circuits and cross-layer integrated design and optimization: from SRAM to FeFET. Sci Sin Inform, 2022, 52(4): 612-638, doi: 10.1360/SSI-2021-0420

信息器件 电路和系统 评述 Website Google Scholar PDF SCOPUS引次: 0

纪念清华大学电子工程系成立70周年专刊

神经网络加速器架构概述

陈怡然, 王一土
中国科学: 信息科学, 2022, 52(4): 596-611

摘要 如今,随着数据需求的增长以及硬件算力性能的提升,人工智能得到越来越广泛的应用.其中,神经网络算法已经被成功地用于解决一些实际问题,例如人脸识别、自动驾驶等.尽管这些算法有着卓越的表现,但其在传统硬件平台上的计算性能仍然不够高效.因而,一些为神经网络算法定制的计算平台应运而生.本文将总结一些典型的神经网络加速器架构设计,包括计算单元、数据流控制、所加速的不同神经网络的特点,以及在新兴计算平台上设计加速器的考量等.最后我们也将提出对神经网络加速器未来的展望.

关键词 人工智能; 神经网络; 体系结构; 加速器; artificial intelligence; neural network; architecture; accelerator;

引用格式 陈怡然, 王一土. 神经网络加速器架构概述. 中国科学: 信息科学, 2022, 52(4): 596-611, doi: 10.1360/SSI-2021-0409
Yiran CHEN, Yitu WANG. A survey of architectures of neural network accelerators. Sci Sin Inform, 2022, 52(4): 596-611, doi: 10.1360/SSI-2021-0409