Special Topic: AI Chips and Systems for Large Language Models
REVIEW Webpage Webpage-cn SpringerLink Google Scholar

Review of chiplet-based design: system architecture and interconnection
Liu Y F, Li X Y, Yin S Y
Sci China Inf Sci, 2024, 67(10): 200401
Keywords: chiplet-based design; package; architecture; interconnection; silicon interposer
Cite as: Liu Y F, Li X Y, Yin S Y. Review of chiplet-based design: system architecture and interconnection. Sci China Inf Sci, 2024, 67(10): 200401, doi: 10.1007/s11432-023-3926-8